IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
我的第三个UVM代码——把testcase与driver分开
#验证  #UVM 
在本示例中没有env、agent、sequencer、sequence等组件,属于一个简化的验证环境,主要是由于分步演示的需要。侧面也说明UVM是一个灵活的验证架构,可以根据自己的需要进行裁剪。
ExASIC
你还在用plusargs传递参数吗?来试试这个玩法~
#验证  #UVM  #SV 
当面对很多验证组件,并且有很多命令行参数需要传递的时候,如何才能简单的实现给这些组件传递命令行参数呢?
杰瑞IC验证
SystemVerilog与功能验证方法学
#验证  #FPGA  #UVM  #SystemVerilog 
介绍SyetemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人
一个加法器的UVM验证平台设计
#验证  #UVM  #IC验证  #验证平台搭建 
以入门的角度进行一个加法器的UVM验证平台设计
Andy的ICer之路
SystemVerilog与功能验证方法学
#FPGA  #FPGA  #UVM  #SystemVerilog  #芯片 
介绍SystemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人
IC验证er一起学点设计模式(1)---单例模式
#验证  #SV  #UVM  #面向对象 
众所周知,目前IC验证行业使用最主流的语言是SystemVerilog,这个语言有一个重要特点就是它是面向对象的语言。对于面向对象的语言,想要把代码写得更“牛逼”,其实就绕不开一个概念叫“设计模式”。
杰瑞IC验证
验证仿真提速系列--SystemVerilog编码层面提速的若干策略
#验证  #SystemVerilog  #UVM 
随着设计复杂度和规模增加,验证平台复杂度跟着增加。验证平台的仿真速度问题成为验证过程中一个重要问题…
杰瑞IC验证
怎么在sequence中调用agent中的函数以及如何快速实验你的想法?
#验证  #UVM  #SV 
通过本篇文章主要想送给广大验证初学者和爱好者2件礼物:“一条鱼”和“一只鱼竿”。希望大家连吃带拿,开开心心地。
杰瑞IC验证
【资料库】IC FPGA开发与数字逻辑综合工具实践
#FPGA  #资料库  #UVM  #DFT  #DC  #VCS  #工具实践 
分享关于IC FPGA开发与数字逻辑综合工具的资料,有UVM和DFT的实训课程,DC和VCS的工具实践等,后台自行获取~
电子狂人
SystemVerilog | UVM | Phase机制基础
#验证  #SystemVerilog  #UVM  #芯片验证 
Phase机制在基于UVM的仿真中尤其重要,它是整个仿真周期中的同步机制。本文将介绍Phase的基础部分,包括Phase的概念、框架和应用实例。
芯片学堂
SystemVerilog | UVM | 深入Phase机制,看懂Phase机制实现原理
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将在前面介绍Phase机制基本内容的基础上,到源代码中去了解Phase机制的实现方式,并且将尽可能以可视化的方式来呈现Phase机制源码的实现逻辑,属于Phase机制进阶篇。
芯片学堂
【UVM】 layering sequence for layered protocol
#验证  #UVM 
IC中常见的如PCIe,USB,UFS等都是分层传输的协议。对于这些高速IP,其验证环境通常也采用分层结构,方便扩展和重用。
IC Verification Club
UVM设计模式 (九) 状态模式、Modelling Finite-State Machines in Testbench
#验证  #UVM  #设计模式 
在我们的验证环境中,有时也需要一个组件专门负责FSM的建模;例如验证USB Device DUT时,验证环境需要模拟USB Host的行为;对于USB协议复杂的状态机,使用专门的FSM组件模拟,可以减少组件间的耦合;也可以将FSM组件的状态赋值到virtual interface上,通过波形协助debug;
IC Verification Club
关于UVM driver的幕后||你知道get_next_item在哪里吗?
#验证  #UVM  #源码 
我们都知道,driver要和sequencer相连,然后通过seq_item_port接口的get_next_item方法和sequence之间进行交互。 但是,你有没有去查过get_next_item这个方法究竟是哪个类提供的呢?
摸鱼范式II芯片验证之路
SystemVerilog | UVM | 精讲RAL寄存器模型基础
#验证  #SystemVerilog  #UVM  #芯片验证 
RAL(Register Abstract Layer,寄存器抽象层),通常也叫寄存器模型,顾名思义就是对寄存器这个部件的建模。本文要介绍的内容,包括对UVM寄存器模型的概述,如何构建寄存器模型,以及如何将寄存器模型集成到验证环境中。
芯片学堂
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将展开介绍寄存器模型访问上的一些话题,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
寄存器模型操作,指的是通过寄存器模型对RTL中寄存器进行读写访问,或者同步寄存器模型与RTL中寄存器的值。本文将展开介绍寄存器模型访问上的一些内容,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
SystemVerilog | 脱离代码谈芯片验证关键指标:覆盖率
#验证  #SystemVerilog  #UVM  #芯片验证 
验证覆盖率(Verification Coverage)的存在是为了试图回答这样一个问题:“你怎么知道验证已经完成?” 实际上,就算验证覆盖率达到了100%,从逻辑上也不能保证当前的验证是完备的。只不过,100%的验证覆盖率,可以让工程团队对即将tape out的芯片增添不少信心。本文将重点厘清覆盖率相关的概念,以及在芯片开发流程中跟覆盖率相关的事项。
芯片学堂
芯片开发必备工具 | 正则表达式(RegularExpression)使用指南
#前端  #SystemVerilog  #UVM  #芯片验证 
在芯片开发过程中,正则表达式的使用非常常见。初次上手晦涩难懂,多用几次爱不释手!本文将概述正则表达式以及实用的匹配规则,并给出使用表达式的辅助工具:CheatSheet和在线测试工具。获取全文高清图片,可在公众号后台直接回复“正则表达式”获得下载链接。
芯片学堂
UVM验证TinyALU项目:1 - Introduction and DUT
#验证  #UVM  #systemverilog  #IC验证 
《The UVM Primer》 是一本UVM的入门书籍,由Ray Salemi编写出版,并且是免费开源的项目,代码可以在GitHub上下载。
ICer消食片
UVM验证TinyALU项目:2 - A Conventional Testbench for the TinyALU
#验证  #UVM  #systemverilog  #IC验证 
在搭建UVM验证环境之前,我们先从SystemVerilog验证平台开始,随后一步一步地过渡到完整的UVM验证平台。
ICer消食片
SystemVerilog | UVM | Sequence的仲裁和锁定,还有要避开UVM的bug
#验证  #UVM  #SystemVerilog  #Sequence 
比较多的干货,也偏保姆级,介绍Sequence之间发生竞争的时候,我们需要或者说可以做些什么,包括如何配置sequence的仲裁算法和优先级、如何让sequence占用sequencer(这个地方UVM 1.2有个bug),以及如何中断sequence的执行。
芯片学堂
值得一看 | 细说验证中的Memory Allocation Manager
#验证  #UVM_MEM_MAM  #YAMM  #内存管理  #DMA  #SOC 
介绍芯片验证中的“内存管理”概念,可以用作数据搬运类验证的地址管理和分配,解决随机重复地址的问题,分享两个常用的库:UVM_MEM_MAM和YAMM。
验证芯发现
C case和UVM TB的交互,tube_print, event_sync
#验证  #tube  #soc  #UVM 
C代码调用printf时,打印信息显示在哪个IO上,由pringf调用的底层代码决定;软件C中的printf默认在terminal上打印;对于嵌入式C, 运行在开发板上的code,可以借助target自己的显示IO,如LCD屏,将打印信息直接显示在LCD屏上;如果target没有显示IO,也可以retarget到host端的terminal上;simulation仿真时,运行的C代码需要借助主机host的IO,将打印信息显示在simulation terminal上;常见的方式有以下几种:
IC Verification Club
【犄角旮旯的bug】UVM环境的看门狗怎么没看住超时了?
#验证  #UVM 
uvm验证环境里一般通过objection机制来控制仿真的结束,不过在机制之外,有时还需要通过看门狗来watchdog避免仿真环境挂死,watchdog配合objection一起来控制仿真的进行与结束。我一直自诩为对环境watchdog这件事烂熟于心了,不过没想到这天还是被伤害到了。“@harness.dut.hand_en”和“wait harness.dut.hand_en”到底有什么区别?
芯时代青年