IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
数字后端面试高频问题
#后端  #数字IC  #后端  #求职  #面试  #芯片物理设计 
数字后端相比于前端门槛较高,但知识技能树非常清晰,包括:data setup、floorplan、placement、cts、routing、DFM、DRC/LVS、ECO等。本公众号推出的专题——“后端面试高频问题”分模块对数字芯片物理设计相关知识点进行了整理,以帮助大家轻松应对数字后端的笔面试。
数字IC剑指offer
数字芯片工程师技能评估
#FPGA  #数字芯片设计 
分为6个维度,每个维度分为若干子项,单独评分生成雷达图,用于面试求职的定量评估。
不忘出芯
FPGA项目开发:基于JESD204B的LMK04821芯片项目开发经验分享
#FPGA  #FPGA  #JESD204B的LMK04821芯片项目开发  #项目经验分享 
LMK0482X系列是德州仪器推出的高性能时钟调节芯片系列,该芯片目前有三种,分别为LMK04821、LMK04826以及LMK04828,该系列芯片都支持最新的JESD204B协议。本次调试主要以LMK04821为例,对调试过程中出现的一些问题进行总结说明。
FPGA技术江湖
SystemVerilog与功能验证方法学
#FPGA  #FPGA  #UVM  #SystemVerilog  #芯片 
介绍SystemVerilog与功能验证技术和方法学,为有效提高验证效率找方法。
科学文化人
“硬件加速方法”第四轮MOOC将于2月26日开放
#前端 EDA  #芯片 
“芯动力——硬件加速设计方法”是目前MOOC课程中少有的几门讲授工业界主流ASIC、SOC设计技术的课程,于2019年12月在“中国大学MOOC”平台上线,迄今已经完成了三轮授课,选课人数逾6000多人。课程前三轮好评度为4.8星。第四轮课程即将于2月26日开课,欢迎对数字芯片设计与FPGA设计技术感兴趣的同学选课!本轮依然会为成绩最高分的同学送出奖品,具体奖品请后续关注课程公告。
网络交换FPGA
2021年,IC验证还值得转吗?
#验证  #IC验证  #就业  #求职  #芯片  #秋招 
近几年,IC行业成为了就业的热门,国内一线大厂为优秀的应届毕业生开出了40万的年薪,赚足了眼球。对于材料,化学等专业的学生来说,转行IC成为了趋势。就2021年的现状来看,IC验证还值得转吗?
OpenIC
芯片行业哪些人能达到年薪百万?
#求职就业  #验证  #IC验证  #就业  #求职  #芯片  #秋招  #薪资  #前端设计 
芯片行业薪资大揭秘,看看你的上限在哪里!
OpenIC
读书笔记 | 芯片产品线经理生存指南
#求职就业  #芯片  #产品经理  #研发管理 
《芯片产品线经理生存指南》这本书有助于芯片研发工程师从产品的角度去理解一个芯片从立项到生产的全过程。
icsoc
芯片设计:基于Verilog的TCAM硬件实现
#前端  #芯片设计  #TCAM 
通常TCAM/CAM是有对应的ram mem 库的,但是芯片设计过程中对于比较小的查表,我们完全可以使用寄存器搭建一个TCAM/CAM. 还可以做的更加灵活,这就类似于寄存器搭建RAM一样
FPGA自习室
中芯国际Q2财报亮眼,半导体下半年走向何方?
#前端  #IC验证  #就业  #求职  #芯片  #秋招  #薪资 
近日,各大半导体公司纷纷发布了第二季度的财报,而备受瞩目的国内半导体制造领域“一哥”中芯国际也在8月5日公布了财报:第二季度销售收入超13亿美元,毛利率超30.1%,各项财务指标均好于预期。在财报公布后,中芯国际全年营收成长和毛利率上调为约30%。
OpenIC
芯片设计:预取FIFO的Verilog硬件实现
#前端  #芯片设计  #FWFT  #FIFO 
在原有的FIFO的基础上增加使能控制器和输出寄存器单元也就是FWFT Adapt逻辑 。其中使能控制器完成普通FIFO和FWFT FIFO 的rd和empty的转换。输出寄存器则是直接连接FIFO/RAM输出的数据作为最终FWFT FIFO的读数据rdata。
FPGA自习室
https://mp.weixin.qq.com/s/kqsBDwjL7jOxmRMK4tsKiw
#前端  #半导体  #资讯  #芯片  #秋招  #薪资  #前端设计 
据环球网引述韩国《经济日报》消息,美国商务部长雷蒙多在半导体高峰会上宣称,美国政府需要更多有关芯片供应链的信息,以“提高处理危机的透明度,并确定导致短缺的根本原因”。美国要求相关企业在45天内,缴出公司相关数据,包括库存、销售及客户等商业机密,这样的要求将使公司陷入困境。
OpenIC
芯片(IC)在5-10年后还会像现在这样火吗?
#前端  #半导体  #资讯  #芯片  #秋招  #薪资  #前端设计 
关于芯片行业能火多久,我曾无数次被问到这个问题,今天就来展望一下半导体的前景和钱景。
OpenIC
芯片(IC)在5-10年后还会像现在这样火吗?
#前端  #半导体  #资讯  #芯片  #秋招  #薪资  #前端设计 
数字IC设计是一个很大的范畴,很多概念也容易混淆,对于应届生来说,不仅要面对选设计、验证、DFT职位方向问题,同样也要考虑不同芯片的市场前景。
OpenIC
SystemVerilog | UVM | Phase机制基础
#验证  #SystemVerilog  #UVM  #芯片验证 
Phase机制在基于UVM的仿真中尤其重要,它是整个仿真周期中的同步机制。本文将介绍Phase的基础部分,包括Phase的概念、框架和应用实例。
芯片学堂
SystemVerilog | UVM | 深入Phase机制,看懂Phase机制实现原理
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将在前面介绍Phase机制基本内容的基础上,到源代码中去了解Phase机制的实现方式,并且将尽可能以可视化的方式来呈现Phase机制源码的实现逻辑,属于Phase机制进阶篇。
芯片学堂
IC职场说——入职4个月数字IC前端设计师兄谈芯片前景(篇二)
#前端  #数字IC  #就业形式  #芯片发展 
你怎么看待芯片的发展?今年特别火,还能火几年?现在转行学数字IC,明年就业形势还明朗吗?
IC媛
SystemVerilog | UVM | 精讲RAL寄存器模型基础
#验证  #SystemVerilog  #UVM  #芯片验证 
RAL(Register Abstract Layer,寄存器抽象层),通常也叫寄存器模型,顾名思义就是对寄存器这个部件的建模。本文要介绍的内容,包括对UVM寄存器模型的概述,如何构建寄存器模型,以及如何将寄存器模型集成到验证环境中。
芯片学堂
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
本文将展开介绍寄存器模型访问上的一些话题,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
SystemVerilog | UVM | RAL寄存器模型操作图鉴
#验证  #SystemVerilog  #UVM  #芯片验证 
寄存器模型操作,指的是通过寄存器模型对RTL中寄存器进行读写访问,或者同步寄存器模型与RTL中寄存器的值。本文将展开介绍寄存器模型访问上的一些内容,包括寄存器域段的成员值、寄存器的前后门访问以及具体各种访问方法图示。
芯片学堂
SystemVerilog | 脱离代码谈芯片验证关键指标:覆盖率
#验证  #SystemVerilog  #UVM  #芯片验证 
验证覆盖率(Verification Coverage)的存在是为了试图回答这样一个问题:“你怎么知道验证已经完成?” 实际上,就算验证覆盖率达到了100%,从逻辑上也不能保证当前的验证是完备的。只不过,100%的验证覆盖率,可以让工程团队对即将tape out的芯片增添不少信心。本文将重点厘清覆盖率相关的概念,以及在芯片开发流程中跟覆盖率相关的事项。
芯片学堂
芯片开发必备工具 | 正则表达式(RegularExpression)使用指南
#前端  #SystemVerilog  #UVM  #芯片验证 
在芯片开发过程中,正则表达式的使用非常常见。初次上手晦涩难懂,多用几次爱不释手!本文将概述正则表达式以及实用的匹配规则,并给出使用表达式的辅助工具:CheatSheet和在线测试工具。获取全文高清图片,可在公众号后台直接回复“正则表达式”获得下载链接。
芯片学堂
热爱芯片行业是一种怎样的体验?
#求职就业  #芯片  #求职  #前端设计  #职业发展 
时至今日,我开始慢慢的发觉我对芯片行业的热爱有多么深切。回首自己的职业生涯历程,热爱这件事,不是一蹴而就的。
OpenIC
FPGA学习altera 系列 固化fpga配置芯片
#FPGA  #芯片配置  #固化  #学习教程 
FPGA可以反复的重新配置,这就意味着设计者可以不断的反复的下载设计的逻辑做验证。如果出现错误或者需要升级,只需要修改设计,重新下载设计逻辑电路即可。FPGA虽然有重新配置的优势,带来的不利就是它每次在系统掉电之后,之前载入的程序将会丢失,系统上电后需要重新配置。设计者为了弥补这项缺陷,在FPGA芯片的旁边都会设置一个flash(掉电不丢失)。配置FPGA芯片的方式有很多,无外乎是在线配置和外部存储器配置。系统上电后,会主动获取外部存储器内的配置数据。在线配置的优先级最高,所以读者不必担心固化外部存储器后不能在线配置其他的。
FPGA技术江湖
FPGA布线拥塞主要原因及解决办法
#FPGA  #FPGA  #芯片 
介绍了FPGA设计中,利用Vivado进行布线拥塞原因分析和对应的解决办法。
FPGA算法工程师
为什么说 CPU 是人造物的巅峰?
#前端  #验证  #IC验证  #就业  #求职  #芯片  #秋招  #薪资  #前端设计 
如果把CPU和城市作类比,其中的控制及协调模块可以类比为政府及协调部门、电源模块相当于供电部门、内部的走线相当于街道,而其中一个有一个的小模块可以看做是小区、工业区、大学城等。但和城市不同的是,这些复杂的功能模块都要集成在指甲大小的芯片中.
OpenIC
sv_string | 简单、易用、开源的System-Verilog字符串操作函数库
#验证  #芯片验证  #systemverilog  #string 
相比于Python和C++ string丰富的操作方法, systemverilog中string操作方法略显单薄, 仅支持大小写转换和遍历等少量方法。作者借鉴部分python string的操作函数风格, 以及结合常用的一些字符串操作, 开发一个sv_string的开源库, 使用systemverilog编写, 无需DPI和C代码编译, 开箱即用。源码已开源在github, 已经过questasim仿真测试。
验证芯发现
源码系列:基于FPGA的数模转换(DA)设计
#FPGA  #数模转换器  #DA  #串行数/模转换芯片TLC5620 
数模转换器(Digital to Analog Converter)即DAC,是数字世界和模拟世界之间的桥梁。从航空航天、国防军事到民用通信、多媒体、数字信号处理等都涉及到DAC应用。DAC基本上由4个部分组成,即权电阻网络、运算放大器、基准电源和模拟开关。它是一种将二进制数字量形式的离散信号转换成以参考电压为基准的模拟量的转换器。本设计驱动TLC5620将输入的数字量转换为实际的模拟量(电压)……
FPGA技术江湖
源码系列:基于FPGA实时时钟的设计(附源工程)
#FPGA  #实时时钟  #源码分享  #DS1302芯片  #架构设计 
本次设计采用了美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路 DS1302,它可以对年、月、日、周、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。
FPGA技术江湖
今日说“法”:FPGA芯片如何选型?
#FPGA  #芯片选型  #FPGA厂商  #综合考量 
本篇就当下应用比较多的Intel、Xilinx,Lattice、Actel 数家厂商简单聊聊,其他厂商的选型可以对应延伸类似选择。
FPGA技术江湖
【芯片设计】700行代码的risc处理器你确实不能要求太多了
#前端  #芯片  #处理器 
指令级在inst.md文档中,这个指令级真的非常奇怪。分长指令(2Byte)和短指令(1Byte)也就罢了,mem竟然还限制每拍只能取1Byte数据,这导致长指令需要两拍才能取指完成。进一步的后果就是长指令需要5拍处理完成,短指令只需要4拍处理完成。以后基于这个结构可以再优化优化,继续当练手用的代码。模块数据流如下图所示,将获取到的角度进行一个8阶的FIR滤波,加速度进行一个2阶的IIR滤波,即可得到相对平滑一点的数据,然后经过Cordic算法进行姿态解算,即可得到roll和pitch角度,为了得到更加平滑一点的roll和pitch数据,这里将得到的角度再进行了一个1阶的均值滤波(本来使用的是3阶的均值滤波,但是相位延时有点大,所以修改为1阶的了)
芯时代青年
【IC技术圈专栏】Accelerating ECOs in SOC Design
#前端  #综合  #ECO  #大芯片 
When a functional ECO is required, and it pertains to a specific sub-module, the design team aims to restrict the ECO to that particular sub-module rather than initiating synthesis for the entire design.
NanDigits