IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
VCS/XRUN如何创建一个非UVM的简单仿真环境?
#验证  #vcs  #xrun 
设计码完代码后,有时候想简单调试一下基本的通路,此时还没有验证资源进来,可以仿照modesim仿真的方法,创建一个.v/.sv的顶层,里面例化DUT,里面加预期激励。下面是VCS/XRUN两种仿真工具最简单的demo,spi_slv.v是待测dut, tb_top.sv为顶层top,例化dut, 里面添加对应的激励。
芯片设计验证