IC技术圈期刊 文章分类

类别: FPGA(364) 前端(228) 验证(168) 后端(128) 嵌入式(9) 自动化(21) 模拟(23) 求职就业(163) 管理(11) 软件(26) 按月份
Vivado non-project模式示例
#FPGA  #Vivado  #non-project 
vivado有project模式和non-project模式,project模式就是我们常用的方式,在vivado里面新建工程,通过GUI界面去操作;non-project模式就是纯粹通过tcl来指定vivado的流程、参数。
傅里叶的猫